AM3352BZCZA100 Microprocessors - MPU ARM Cortex-A8 MPU

Takaitaccen Bayani:

Masu sana'a: Texas Instruments
Category samfurin: Microprocessors - MPU
Takardar bayanai:Saukewa: AM3352BZCZA100
Bayani: IC MPU SITARA 1.0GHZ 324NFBGA
Matsayin RoHS: Mai yarda da RoHS


Cikakken Bayani

Siffofin

Aikace-aikace

Tags samfurin

♠ Bayanin samfur

Siffar Samfur Siffar Darajar
Mai ƙira: Texas Instruments
Rukunin samfur: Microprocessors - MPU
RoHS: Cikakkun bayanai
Salon hawa: SMD/SMT
Kunshin/Kasuwa: Saukewa: PBGA-324
Jerin: AM3352
Core: ARM Cortex A8
Adadin Maɗaukaki: 1 Core
Fadin Bus Data: 32 bit
Matsakaicin Matsakaicin agogo: 1 GHz
Ƙwaƙwalwar ajiya na umarni na L1: 32kb ku
L1 Cache Data Memory: 32kb ku
Wutar Lantarki Mai Aiki: 1.325 V
Mafi ƙarancin zafin aiki: -40 C
Matsakaicin Yanayin Aiki: + 125 C
Marufi: Tire
Alamar: Texas Instruments
Girman RAM Data: 64kB, 64kB
Girman ROM Data: 176 kB
Kit ɗin Ci gaba: Saukewa: TMDXEVM3358
I/O Voltage: 1.8 V, 3.3 V
Nau'in Mu'amala: CAN, Ethernet, I2C, SPI, UART, USB
Umarnin Cache na L2 / Ƙwaƙwalwar Bayanai: 256 kB
Nau'in Ƙwaƙwalwa: L1/L2/L3 Cache, RAM, ROM
Danshi Mai Hankali: Ee
Adadin Masu ƙidayar lokaci/Masu ƙididdiga: 8 Mai ƙidayar lokaci
Jerin Mai sarrafawa: Sitara
Nau'in Samfur: Microprocessors - MPU
Yawan Kunshin Masana'anta: 126
Rukuni: Microprocessors - MPU
Sunan kasuwanci: Sitara
Watchdog Timers: Watchdog Timer
Nauyin Raka'a: 1.714 g

♠ AM335x Sitara™ Processors

AM335x microprocessors, dangane da ARM Cortex-A8 processor, an inganta su tare da hoto, zane-zane, kayan aiki da zaɓuɓɓukan ƙirar masana'antu kamar EtherCAT da PROFIBUS.Na'urorin suna goyan bayan babban tsarin aiki (HLOS).Processor SDK Linux® da TI-RTOS ana samunsu kyauta daga TI.

Microprocessor AM335x yana ƙunshe da tsarin tsarin da aka nuna a cikin Tsarin Toshe Aiki da taƙaitaccen bayanin kowane mai biyowa:

Wannan ya ƙunshi ƙananan tsarin da aka nuna a cikin Tsarin Toshe Aiki da taƙaitaccen bayanin kowane mai biyowa:

Naúrar microprocessor (MPU) ta dogara ne akan mai sarrafa ARM Cortex-A8 kuma PowerVR SGX ™ Graphics Accelerator subsystem yana ba da haɓakar zane na 3D don tallafawa nuni da tasirin caca.PRU-ICSS ya bambanta da ainihin ARM, yana ba da damar aiki mai zaman kanta da clocking don ingantaccen aiki da sassauci.

PRU-ICSS yana ba da damar ƙarin musaya na gefe da ƙa'idodi na ainihi kamar EtherCAT, PROFINET, EtherNet/IP, PROFIBUS, Ethernet Powerlink, Sercos, da sauransu.Bugu da ƙari, yanayin shirye-shiryen PRU-ICSS, tare da damar yin amfani da fil, abubuwan da suka faru da duk albarkatun tsarin-on-chip (SoC), suna ba da sassauci wajen aiwatar da sauri, martani na ainihi, ayyukan sarrafa bayanai na musamman, mu'amalar mahalli na al'ada. , da kuma a cikin sauke ayyuka daga sauran kayan sarrafawa na SoC.


  • Na baya:
  • Na gaba:

  • • Har zuwa 1-GHz Sitara™ ARM® Cortex® -A8 32-Bit RISC Processor

    – NEON™ SIMD mai sarrafa

    - 32KB na Umarnin L1 da 32KB na Cache Data Tare da Gano Kuskure Guda (Parity)

    - 256KB na L2 Cache Tare da Kuskuren Gyara Code (ECC)

    - 176KB na On-Chip Boot ROM

    - 64KB na sadaukarwar RAM

    - Kwaikwayi da Gyara - JTAG

    - Mai Gudanar da Katsewa (har zuwa buƙatun Katsewa 128)

    Ƙwaƙwalwar Ƙwaƙwalwar Kan Chip (Raba L3 RAM)

    – 64KB na Babban-Manufa Kan-Chip Memory Controller (OCMC) RAM

    - Dama ga Duk Masters

    - Yana goyan bayan riƙewa don saurin farkawa

    • Hanyoyin Ƙwaƙwalwar Ƙwaƙwalwar Ƙwaƙwalwa (EMIF)

    - mDDR (LPDDR), DDR2, DDR3, DDR3L Mai Gudanarwa:

    -mDDR: Agogon 200-MHz (Ƙimar Bayanai 400-MHz)

    - DDR2: Agogon 266-MHz (Matsalar Bayanai 532-MHz)

    - DDR3: Agogon 400-MHz (Kimanin Bayanai 800-MHz)

    - DDR3L: Agogon 400-MHz (Kimanin Bayanai 800-MHz)

    - 16-Bit Data Bus

    – 1GB na Jimlar sararin da za a iya Magana

    - Yana goyan bayan Tsarin Na'urar ƙwaƙwalwar ajiya x16 ko biyu x8

    – Babban-Manufa Ƙwaƙwalwar Ƙwaƙwalwa (GPMC)

    - Mai sauƙin 8-Bit da 16-Bit Asynchronous Ƙwaƙwalwar Ƙwaƙwalwar Ƙwaƙwalwar Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwaƙwalwa na Ƙwaƙwalwa na Ƙwaƙwalwa na Ƙwaƙwalwa na Ƙwaƙwalwa na Ƙwaƙwalwa na Ƙaƙwal ) na Ƙwaƙwalwa na Ƙwaƙwalwa na 16-Bit da aka Yi tare da Zaɓuɓɓukan Chip Bakwai (NAND, NOR, Muxed-NOR, SRAM)

    - Yana amfani da lambar BCH don tallafawa 4-, 8-, ko 16-Bit ECC

    - Yana amfani da lambar Hamming don tallafawa 1-Bit ECC

    - Module Mai gano Kuskuren (ELM)

    - An yi amfani da shi tare da GPMC don Nemo adiresoshin Kurakurai Data daga Ciwon Maɗaukaki da aka Ƙirƙiri Ta Amfani da Algorithm na BCH

    - Yana goyan bayan 4-, 8-, da 16-Bit a kowane 512-Byte Kuskuren Wuri Dangane da Algorithms na BCH

    • Tsare-tsare na Tsare-tsare na Tsari na Gaskiya da Tsarin Sadarwar Masana'antu (PRU-ICSS)

    - Yana goyan bayan ladabi kamar EtherCAT®, PROFIBUS, PROFINET, EtherNet/IP™, da ƙari

    - Raka'a guda biyu masu shirye-shirye na Real-Time (PRUs)

    - 32-Bit Load / Store RISC Processor Mai iya Gudu a 200 MHz

    - 8KB na Umarnin RAM Tare da Gano Kuskure guda ɗaya (Parity)

    - 8KB na RAM Data Tare da Gano Kuskure guda ɗaya (Parity)

    – Single-Cycle 32-Bit Multiplier Tare da 64-bit Accumulator

    - Ingantattun Module na GPIO Yana Ba da Tallafin Ci-da-Fita da Latsa Daidaita akan Siginar Waje

    - 12KB na RAM Rarraba Tare da Gano Kuskure guda ɗaya (Parity)

    - Bankunan Rijistar 120-Byte guda uku da kowane PRU ke samun dama

    - Mai Kula da Katse (INTC) don Gudanar da Abubuwan shigar da Tsarin

    - Bus Interconnect na gida don Haɗa Masters na ciki da na waje zuwa albarkatun cikin PRU-ICSS

    - Abubuwan da ke cikin PRU-ICSS:

    - Portaya daga tashar UART tare da Fin ɗin Kula da Yawo, Yana tallafawa har zuwa 12 Mbps

    - Module Ingantacciyar Ɗaukaka (eCAP).

    - Mashigai na MII Ethernet guda biyu waɗanda ke Goyan bayan Ethernet masana'antu, kamar EtherCAT

    – Tashar ruwa ta MDIO daya

    • Ƙarfi, Sake saiti, da Tsarin Gudanar da Agogo (PRCM).

    - Yana Sarrafa Shiga da Fitar Tsaye da Yanayin Barci

    - Alhaki don Jebin Barci, Ƙirar Kashe-Kashe Wutar Wuta, Tsarin Farkawa, da Tsarin Canjawar Wutar Wuta.

    – Agogo

    - Haɗe-haɗe 15- zuwa 35-MHz Oscillator Mai Girma Mai Girma da Aka Yi Amfani da shi don Ƙirƙirar Agogon Magana don Tsari Daban-daban da Makullin Wuta.

    - Yana goyan bayan Ƙaƙƙarfan Ƙaƙwalwar Ƙaƙƙarfan Ƙaƙwalwar Ƙaƙwalwar Ƙaƙwalwar Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwaƙwalwa na Ƙaƙwalwa na Ƙarƙashin Ƙarƙashin Ƙarƙa )

    - Biyar ADPLLs don Ƙirƙirar Makullin Tsarin (MPU Subsystem, DDR Interface, USB da Peripherals [MMC da SD, UART, SPI, I 2C], L3, L4, Ethernet, GFX [SGX530], LCD Pixel Clock)

    – Ƙarfi

    - Wuraren Ƙarfin Wuta guda Biyu (Agogon Lokaci na Gaskiya [RTC], Wake-Up Logic [WAKEUP])

    - Wuraren Wutar Wuta Mai Sauyawa Uku (MPU Subsystem [MPU], SGX530 [GFX], Na'urori da Kayan Aiki [PER])

    - Yana Aiwatar da SmartReflex™ Class 2B don Ƙaƙwalwar Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarƙashin Ƙarfafa Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Ƙwararrun Tsari, da Ayyuka (Adaptive Voltage Scaling [AVS])

    - Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwaƙwalwa (DVFS)

    • Agogon Lokaci (RTC)

    - Kwanan Watan Haƙiƙa (Ranar-wata-Shekara-Ranar mako) da Lokaci (Sa'o'i-minti-daƙiƙa) Bayani

    - Oscillator na ciki 32.768-kHz, RTC Logic da 1.1-V na ciki LDO

    - Sake saitin wutar lantarki mai zaman kanta (RTC_PWRONRSTn)

    - Ƙaddamar da Ƙaddamar Shigar (EXT_WAKEUP) don Abubuwan Farkawa na Waje

    - Ana iya amfani da ƙararrawa na shirye-shirye don haifar da tsangwama ga PRCM (don Wakeup) ko Cortex-A8 (don Sanarwa na Biki)

    - Ana iya amfani da ƙararrawa mai shirye-shirye tare da Fitarwa na waje (PMIC_POWER_EN) don Ba da damar Gudanar da Wutar IC don Maido da Wuraren Wutar da ba RTC ba.

    • Kayan aiki

    - Har zuwa Biyu USB 2.0 Babban Gudun DRD (Na'urar Matsayi Dual) Tare da Haɗin PHY

    - Har zuwa Gigabit Ethernet MAC na masana'antu guda biyu (10, 100, 1000 Mbps)

    – Haɗaɗɗen Sauyawa

    - Kowane MAC yana goyan bayan MII, RMII, RGMII, da MDIO Interfaces

    - Ethernet MACs da Sauyawa na iya Aiki masu zaman kansu da sauran Ayyuka

    - IEEE 1588v1 Daidaitaccen Lokaci Protocol (PTP)

    - Har zuwa Tashoshin Mai Gudanarwa-Yankin (CAN).

    - Yana goyan bayan CAN Version 2 Sassan A da B

    - Har zuwa Tashar Tashar Tashar Tashar Tashar Tashar Tashar Tashar Tashar Tashar Tashar Tashar Tashar Tashar Tashar Tashar Tashar Talabidi ta 2009 ta (McASPs)

    - Watsawa da Karɓar agogo har zuwa 50 MHz

    - Har zuwa Serial Data Fins a kowane Port McASP Tare da TX masu zaman kansu da agogon RX

    - Yana goyan bayan Multiplexing na lokaci (TDM), Inter-IC Sound (I2S), da makamantansu

    - Yana goyan bayan watsa Interface Audio Digital (SPDIF, IEC60958-1, da Tsarin AES-3)

    - FIFO Buffers don watsawa da karɓa (256 Bytes)

    – Har zuwa shida UARTs

    - Duk UARTs suna goyan bayan IrDA da Yanayin CIR

    - Duk UARTs suna goyan bayan RTS da Gudanar da Yawo na CTS

    - UART1 yana goyan bayan cikakken ikon modem

    - Har zuwa Jagora da Bawan McSPI Serial Interfaces

    - Har zuwa Zaɓuɓɓukan Chip Biyu

    - Har zuwa 48 MHz

    - Har zuwa MMC guda uku, SD, SDIO Ports

    - 1-, 4- da 8-Bit MMC, SD, Yanayin SDIO

    - MMCSD0 yana da Ƙaddamar da Wutar Lantarki don 1.8-V ko 3.3-V Aiki

    - Har zuwa 48-MHz Darajar Canja wurin Bayanai

    - Yana goyan bayan Gano Katin da Kare Rubutu

    - Ya dace da MMC4.3, SD, SDIO 2.0 ƙayyadaddun bayanai

    - Har zuwa Uku I 2C Jagora da Matsalolin Bayi

    - Daidaitaccen Yanayin (har zuwa 100 kHz)

    - Yanayin sauri (har zuwa 400 kHz)

    – Har zuwa Bankunan Huɗu na Fil na Babban Manufar I/O (GPIO).

    - 32 GPIO Fil a kowane Banki (An haɗa shi tare da sauran filaye masu aiki)

    - Za a iya amfani da Fil na GPIO azaman Abubuwan Katsewa (har zuwa Abubuwan Katsewa Biyu a kowane Banki)

    - Har zuwa Abubuwan Shigar Abubuwan Abubuwan DMA na waje guda uku waɗanda Hakanan za'a iya amfani da su azaman shigarwar Katsewa

    – Takwas 32-Bit Janar-Manufa Masu ƙidayar lokaci

    - DMTIMER1 Mai ƙidayar lokaci 1-ms ne da ake amfani da shi don Ticks na System (OS).

    – DMTIMER4–DMTIMER7 an Fitar da su

    – Timer Watchdog guda ɗaya

    Injin Zane-zane na SGX530 3D

    - Gine-ginen Tile yana Isar da Jumloli miliyan 20 a cikin daƙiƙa guda

    - Injin Shader Scalable Universal (USSE) Injin Multithreaded Mai Haɗa Pixel da Ayyukan Shader Vertex

    - Saitin Siffofin Shader na ci gaba a cikin wuce gona da iri na Microsoft VS3.0, PS3.0, da OGL2.0

    - Taimakon API Standard na Masana'antu na Direct3D Mobile, OGL-ES 1.1 da 2.0, da OpenMax

    - Canjin Ayyuka Mai Kyau, Daidaita Load, da Gudanar da Wuta

    - Babban Geometry DMA-Driven Aiki don Mafi ƙarancin hulɗar CPU

    – Shirye-shirye Anti-Ingantacciyar Hoto Mai Kyau

    - Cikakkun Bayanan Ƙwaƙwalwar Ƙwaƙwalwar Ƙwaƙwalwar Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwararren Ƙwaƙwalwa na Ƙwaƙwalwa na Ƙwaƙwalwa

    • Abubuwan Wasan Kwaikwayo

    • Gida da Kayan Automation na Masana'antu

    • Kayan aikin likitanci na masu amfani

    • Masu bugawa

    • Smart Toll Systems

    • Injinan Siyar da Haɗe

    • Ma'aunin Ma'auni

    • Consoles na Ilimi

    • Babban kayan wasan yara

    Samfura masu dangantaka